Follow
Shuang Chen
Title
Cited by
Cited by
Year
Performance Comparisons between 7nm FinFET and Conventional Bulk CMOS Standard Cell Libraries
Q Xie, X Lin, Y Wang, S Chen, MJ Dousti, M Pedram
IEEE Transactions on Circuits and Systems II: Express Briefs 62 (8), 761--765, 2015
842015
A Semi-Markovian Decision Process Based Control Method for Offloading Tasks from Mobile Devices to the Cloud
S Chen, Y Wang, M Pedram
Global Communications Conference (GLOBECOM), 2013, 2885-2890, 2013
642013
Resource allocation and consolidation in a multi-core server cluster using a Markov decision process model
Y Wang, S Chen, H Goudarzi, M Pedram
Quality Electronic Design (ISQED), 2013 14th International Symposium on, 635-642, 2013
392013
Trace-based analysis and prediction of cloud computing user behavior using the fractal modeling technique
S Chen, M Ghorbani, Y Wang, P Bogdan, M Pedram
Big Data (BigData Congress), 2014 IEEE International Congress on, 733-739, 2014
362014
Performance prediction for multiple-threshold 7nm-FinFET-based circuits operating in multiple voltage regimes using a cross-layer simulation framework
S Chen, Y Wang, X Lin, Q Xie, M Pedram
SOI-3D-Subthreshold Microelectronics Technology Unified Conference (S3S …, 2014
312014
Optimal Co-Scheduling of HVAC Control and Battery Management for Energy-Efficient Buildings Considering State-of-Health Degradation
T Cui, S Chen, Y Wang, Q Zhu, S Nazarian, M Pedram
Design Automation Conference (ASP-DAC), 2016 21st Asia and South Pacific …, 2016
242016
Optimal Control of PEVs for Energy Cost Minimization and Frequency Regulation in the Smart Grid Accounting for Battery State-of-Health Degradation
T Cui, Y Wang, S Chen, Q Zhu, S Nazarian, M Pedram
Proceedings of the 52nd Annual Design Automation Conference, 134, 2015
242015
Service level agreement-based joint application environment assignment and resource allocation in cloud computing systems
Y Wang, S Chen, M Pedram
Green Technologies Conference, 167-174, 2013
242013
An Optimal Energy Co-Scheduling Framework for Smart Buildings
T Cui, S Chen, Y Wang, Q Zhu, S Nazarian, M Pedram
Integration, the VLSI Journal, 2016
152016
Resource allocation optimization in a data center with energy storage devices
S Chen, Y Wang, M Pedram
Industrial Electronics Society, IECON 2014-40th Annual Conference of the …, 2014
132014
Concurrent placement, capacity provisioning, and request flow control for a distributed cloud infrastructure
S Chen, Y Wang, M Pedram
Design, Automation and Test in Europe Conference and Exhibition (DATE), 2014 …, 2014
132014
Optimal Offloading Control for a Mobile Device Based on a Realistic Battery Model and Semi-Markov Decision Process
S Chen, Y Wang, M Pedram
Computer-Aided Design (ICCAD), 2014 IEEE/ACM International Conference on …, 2014
122014
Context-driven power management in cache-enabled base stations using a Bayesian neural network
L Wang, S Chen, M Pedram
2017 Eighth International Green and Sustainable Computing Conference (IGSC), 1-8, 2017
112017
Variation-aware joint optimization of the supply voltage and sleep transistor size for the 7nm FinFET technology
Q Xie, Y Wang, S Chen, M Pedram
2014 IEEE 32nd International Conference on Computer Design (ICCD), 380-385, 2014
112014
A cross-layer framework for designing and optimizing deeply-scaled FinFET-based SRAM cells under process variations
A Shafaei, S Chen, Y Wang, M Pedram
Design Automation Conference (ASP-DAC), 2015 20th Asia and South Pacific, 75--80, 2015
102015
Power management of cache-enabled cooperative base stations towards zero grid energy
L Wang, S Chen, M Pedram
2018 IEEE International Conference on Communications (ICC), 1-6, 2018
82018
Optimal joint management of charging and battery swapping services for electric vehicles
L Wang, S Chen, M Pedram
2017 Ninth Annual IEEE Green Technologies Conference (GreenTech), 328-333, 2017
82017
Maximizing the Performance of NoC-based MPSoCs under Total Power and Power Density Constraints
A Shafaei, Y Wang, L Chen, S Chen, M Pedram
Quality Electronic Design (ISQED), 2016 17th International Symposium on, 49--56, 2016
62016
10nm Gate-Length Junctionless Gate-All-Around (JL-GAA) FETs Based 8T SRAM Design Under Process Variation Using a Cross-Layer Simulation
L Wang, A Shafaei, S Chen, Y Wang, S Nazarian, M Pedram
SOI-3D-Subthreshold Microelectronics Technology Unified Conference (S3S …, 2015
62015
A Joint Optimization Framework for Request Scheduling and Energy Storage Management in a Data Center
S Chen, Y Wang, M Pedram
Cloud Computing (CLOUD), 2015 IEEE 8th International Conference on, 163--170, 2015
52015
The system can't perform the operation now. Try again later.
Articles 1–20